IT Brief Australia - Technology news for CIOs & IT decision-makers
Story image

Intel 18A meets key milestones, poised for 2025 production

Wed, 7th Aug 2024

Intel Foundry has announced that its leading-edge process technology, Intel 18A, has reached significant milestones with the first two products successfully booting operating systems. This progress comes ahead of schedule, with the products emerging from the fab less than two quarters after tape-out. The company confirmed that the first external customer is expected to tape out in the first half of next year, with full-scale production anticipated in 2025.

Intel 18A is noted for introducing two major technological advancements: RibbonFET gate-all-around transistors and PowerVia backside power technology. These innovations are designed to enhance transistor scaling and improve performance per watt, marking a pivotal step in Intel's journey to reclaim process leadership.

Kevin O’Buckley, Intel's senior vice president and general manager of Foundry Services, stated, "We are pioneering multiple systems foundry technologies for the AI era and delivering a full stack of innovation that's essential to the next generation of products for Intel and our foundry customers. We are encouraged by our progress and are working closely with customers to bring Intel 18A to market in 2025."

In July, Intel released the 18A Process Design Kit (PDK) 1.0, which equips foundry customers with design tools that leverage the RibbonFET and PowerVia technologies. These tools are being updated by electronic design automation (EDA) and intellectual property (IP) partners to facilitate customer product designs.

Intel announced that the lead products on Intel 18A, Panther Lake (an AI PC client processor) and Clearwater Forest (a server processor), have successfully booted operating systems. These accomplishments demonstrate the stability and readiness of the Intel 18A process technology, which is scheduled to enter production next year.

Cadence and Synopsys, key players in the EDA and IP sectors, have expressed positive responses to Intel's advancements. Tom Beckley, senior vice president and general manager of Cadence’s Custom IC & PCB Group, commented, "Cadence's strategic collaboration with Intel Foundry helps accelerate our mutual customers' innovation by providing access to industry-leading EDA solutions and IP optimised for Intel 18A. It is very encouraging to see Intel 18A achieve this critical milestone, and we are pleased to support customers on their leading-edge designs on 18A." Similarly, Shankar Krishnamoorthy, general manager of the EDA Group at Synopsys, noted, "With 18A now customer-ready, Intel Foundry is bringing together the necessary components needed to design next-generation AI solutions that our mutual customers require and expect."

RibbonFET and PowerVia are key technologies that contribute to the enhanced scale and efficiency essential for AI computing. RibbonFET allows for precise control over the electrical current within the transistor channel, which aids in reducing power leakage and enables further miniaturisation of chip components. PowerVia separates power delivery from the front side of the wafer, thereby reducing resistance and increasing power efficiency.

Looking ahead, Intel aims to further refine its Intel 18A technology and support customers transitioning to this new node. The combination of RibbonFET, PowerVia, and Foveros Direct 3D stacking technology in future products underscores Intel's commitment to process leadership and innovation.

Follow us on:
Follow us on LinkedIn Follow us on X
Share on:
Share on LinkedIn Share on X